LAB_3.


LAB_3.Implementación del contador modulo 14, utilizando la metodología de esquema y lenguaje





..


LAB_3. Modelo Esquemático





LAB_3. Codigo Contador





library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity vector_asignacion is
    Port ( conta : in  STD_LOGIC_VECTOR (3 downto 0);
           a : out  STD_LOGIC;
           b : out  STD_LOGIC;
           c : out  STD_LOGIC;
           d : out  STD_LOGIC);
end vector_asignacion;

architecture Behavioral of vector_asignacion is

begin

a<= conta(0);
b<= conta(1);
c<= conta(2);
d<= conta(3);

end Behavioral;


LAB_3. Imagenes de la implementación







No hay comentarios:

Publicar un comentario